: 下列标识符中根据verilogHDL语法,合法的标识符是( )。A:moduleB:ModuleC:123aD:7seg.v答案:Module 2、



登录
订单
帮助
主页